Matuto tungkol sa pamamagitan ng silicon sa pamamagitan ng (TSV) at sa pamamagitan ng salamin sa pamamagitan ng (TGV) na teknolohiya sa isang artikulo

Ang teknolohiya ng packaging ay isa sa pinakamahalagang proseso sa industriya ng semiconductor. Ayon sa hugis ng pakete, maaari itong nahahati sa socket package, surface mount package, BGA package, chip size package (CSP), single chip module package (SCM, ang agwat sa pagitan ng mga kable sa naka-print na circuit board (PCB) at ang integrated circuit (IC) board pad matches), multi-chip module package (MCM, na maaaring magsama ng mga heterogenous chips), wafer level package (WLP, kabilang ang fan-out wafer level package (FOWLP), micro surface mount mga bahagi (microSMD), atbp.), tatlong-dimensional na pakete (micro bump interconnect package, TSV interconnect package, atbp.), system package (SIP), chip system (SOC).

Trend ng lC Package (13)

Ang mga anyo ng 3D packaging ay pangunahing nahahati sa tatlong kategorya: buried type (pagbaon ng device sa multi-layer wiring o buried sa substrate), active substrate type (silicon wafer integration: unang isama ang mga bahagi at wafer substrate para bumuo ng aktibong substrate ; pagkatapos ay ayusin ang multi-layer na mga interconnection na linya, at tipunin ang iba pang mga chips o mga bahagi sa tuktok na layer) at stacked type (silicon wafers na nakasalansan ng silicon mga wafer, mga chip na nakasalansan ng mga silicon na wafer, at mga chip na nakasalansan ng mga chip).

Trend ng lC Package (8)

Kasama sa mga pamamaraan ng 3D interconnection ang wire bonding (WB), flip chip (FC), through silicon via (TSV), film conductor, atbp.

Napagtanto ng TSV ang patayong pagkakaugnay sa pagitan ng mga chip. Dahil ang vertical interconnection line ay may pinakamaikling distansya at mas mataas na lakas, mas madaling matanto ang miniaturization, high density, high performance, at multifunctional heterogenous structure packaging. Kasabay nito, maaari din itong magkabit ng mga chips ng iba't ibang mga materyales;

sa kasalukuyan, mayroong dalawang uri ng mga teknolohiya sa pagmamanupaktura ng microelectronics gamit ang proseso ng TSV: three-dimensional circuit packaging (3D IC integration) at three-dimensional na silicon packaging (3D Si integration).

Ang pagkakaiba sa pagitan ng dalawang anyo ay:

(1) Ang 3D circuit packaging ay nangangailangan ng mga chip electrodes na ihanda sa mga bumps, at ang mga bumps ay magkakaugnay (bined by bonding, fusion, welding, atbp.), habang ang 3D silicon packaging ay isang direktang interconnection sa pagitan ng mga chips (bonding between oxides at Cu -Cu bonding).

(2) Ang teknolohiya ng 3D circuit integration ay maaaring makamit sa pamamagitan ng pagbubuklod sa pagitan ng mga wafer (3D circuit packaging, 3D silicon packaging), habang ang chip-to-chip bonding at chip-to-wafer bonding ay maaari lamang makamit sa pamamagitan ng 3D circuit packaging.

(3) May mga gaps sa pagitan ng mga chips na isinama ng 3D circuit packaging process, at ang mga dielectric na materyales ay kailangang punan upang ayusin ang thermal conductivity at thermal expansion coefficient ng system upang matiyak ang katatagan ng mekanikal at elektrikal na mga katangian ng system; walang mga gaps sa pagitan ng mga chips na isinama ng 3D silicon packaging process, at ang power consumption, volume, at bigat ng chip ay maliit, at ang electrical performance ay napakahusay.

Trend ng lC Package (10)

Ang proseso ng TSV ay maaaring bumuo ng isang patayong landas ng signal sa pamamagitan ng substrate at ikonekta ang RDL sa itaas at ibaba ng substrate upang bumuo ng isang three-dimensional na landas ng conductor. Samakatuwid, ang proseso ng TSV ay isa sa mga mahalagang pundasyon para sa pagbuo ng isang three-dimensional na istruktura ng passive device.

Ayon sa pagkakasunud-sunod sa pagitan ng front end of line (FEOL) at back end of line (BEOL), ang proseso ng TSV ay maaaring nahahati sa tatlong pangunahing proseso ng pagmamanupaktura, ibig sabihin, sa pamamagitan ng una (ViaFirst), sa pamamagitan ng gitna (Via Middle) at sa pamamagitan ng huling (Via Last) na proseso, tulad ng ipinapakita sa figure.

Trend ng lC Package (9)

1. Sa pamamagitan ng proseso ng pag-ukit

Ang proseso ng pag-ukit ay ang susi sa paggawa ng istraktura ng TSV. Ang pagpili ng angkop na proseso ng pag-ukit ay maaaring epektibong mapahusay ang mekanikal na lakas at elektrikal na katangian ng TSV, at higit pang nauugnay sa pangkalahatang pagiging maaasahan ng mga TSV na three-dimensional na device.

Sa kasalukuyan, mayroong apat na pangunahing TSV sa pamamagitan ng mga proseso ng pag-ukit: Deep Reactive Ion Etching (DRIE), wet etching, photo-assisted electrochemical etching (PAECE) at laser drilling.

(1) Deep Reactive Ion Etching (DRIE)

Ang deep reactive ion etching, na kilala rin bilang DRIE process, ay ang pinakakaraniwang ginagamit na proseso ng pag-ukit ng TSV, na pangunahing ginagamit upang maisakatuparan ang TSV sa pamamagitan ng mga istrukturang may mataas na aspect ratio. Ang mga tradisyunal na proseso ng pag-ukit ng plasma sa pangkalahatan ay maaari lamang makamit ang lalim ng pag-ukit ng ilang micron, na may mababang rate ng pag-ukit at kakulangan ng selectivity ng etching mask. Ang Bosch ay gumawa ng kaukulang mga pagpapabuti sa proseso batay dito. Sa pamamagitan ng paggamit ng SF6 bilang isang reaktibong gas at pagpapakawala ng C4F8 na gas sa panahon ng proseso ng pag-ukit bilang isang proteksyon sa passivation para sa mga sidewall, ang pinahusay na proseso ng DRIE ay angkop para sa pag-ukit ng mataas na aspect ratio vias. Samakatuwid, tinatawag din itong proseso ng Bosch pagkatapos ng imbentor nito.

Ang figure sa ibaba ay isang larawan ng isang mataas na aspect ratio sa pamamagitan ng nabuo sa pamamagitan ng pag-ukit ng proseso ng DRIE.

Trend ng lC Package (5)

Kahit na ang proseso ng DRIE ay malawakang ginagamit sa proseso ng TSV dahil sa mahusay na pagkontrol nito, ang kawalan nito ay ang pagiging patag ng sidewall ay hindi maganda at ang mga hugis ng scallop na wrinkle defect ay mabubuo. Ang depektong ito ay mas makabuluhan kapag nag-uukit ng mataas na aspect ratio vias.

(2) Basang ukit

Gumagamit ang wet etching ng kumbinasyon ng mask at chemical etching para mag-ukit sa mga butas. Ang pinakakaraniwang ginagamit na solusyon sa pag-ukit ay ang KOH, na maaaring mag-ukit ng mga posisyon sa silikon na substrate na hindi protektado ng maskara, sa gayon ay bumubuo ng nais na istraktura sa pamamagitan ng butas. Ang wet etching ay ang pinakamaagang proseso ng through-hole etching na binuo. Dahil ang mga hakbang sa proseso nito at mga kinakailangang kagamitan ay medyo simple, angkop ito para sa mass production ng TSV sa mababang halaga. Gayunpaman, tinutukoy ng chemical etching mechanism nito na ang through-hole na nabuo sa pamamaraang ito ay maaapektuhan ng crystal orientation ng silicon wafer, na ginagawang hindi patayo ang etched through-hole ngunit nagpapakita ng malinaw na phenomenon ng malawak na tuktok at makitid na ilalim. Nililimitahan ng depektong ito ang paggamit ng wet etching sa paggawa ng TSV.

(3) Photo-assisted electrochemical etching (PAECE)

Ang pangunahing prinsipyo ng photo-assisted electrochemical etching (PAECE) ay ang paggamit ng ultraviolet light upang mapabilis ang pagbuo ng mga pares ng electron-hole, at sa gayon ay mapabilis ang proseso ng electrochemical etching. Kung ikukumpara sa malawakang ginagamit na proseso ng DRIE, ang proseso ng PAECE ay mas angkop para sa pag-ukit ng ultra-large aspect ratio through-hole structures na higit sa 100:1, ngunit ang kawalan nito ay ang kakayahang kontrolin ang lalim ng etching ay mas mahina kaysa sa DRIE, at ang teknolohiya nito ay maaaring nangangailangan ng karagdagang pananaliksik at pagpapabuti ng proseso.

Trend ng lC Package (6)

(4) Laser pagbabarena

Iba sa tatlong pamamaraan sa itaas. Ang pamamaraan ng pagbabarena ng laser ay isang purong pisikal na pamamaraan. Pangunahing gumagamit ito ng high-energy laser irradiation upang matunaw at ma-evaporate ang substrate na materyal sa tinukoy na lugar upang pisikal na mapagtanto ang through-hole construction ng TSV.

Ang through-hole na nabuo sa pamamagitan ng laser drilling ay may mataas na aspect ratio at ang sidewall ay karaniwang vertical. Gayunpaman, dahil ang laser drilling ay aktwal na gumagamit ng lokal na pagpainit upang mabuo ang through-hole, ang hole wall ng TSV ay negatibong maaapektuhan ng thermal damage at mababawasan ang pagiging maaasahan.

Trend ng lC Package (11)

2. Liner layer deposition proseso

Ang isa pang pangunahing teknolohiya para sa pagmamanupaktura ng TSV ay ang proseso ng deposition ng liner layer.

Isinasagawa ang proseso ng pagdedeposito ng liner layer pagkatapos ma-ukit ang through-hole. Ang idinepositong layer ng liner ay karaniwang isang oxide tulad ng SiO2. Ang liner layer ay matatagpuan sa pagitan ng panloob na konduktor ng TSV at ng substrate, at pangunahing gumaganap ng papel na ihiwalay ang kasalukuyang pagtagas ng DC. Bilang karagdagan sa pagdedeposito ng oxide, barrier at seed layers ay kinakailangan din para sa conductor filling sa susunod na proseso.

Ang manufactured liner layer ay dapat matugunan ang sumusunod na dalawang pangunahing kinakailangan:

(1) ang breakdown boltahe ng insulating layer ay dapat matugunan ang aktwal na mga kinakailangan sa pagtatrabaho ng TSV;

(2) ang mga idinepositong layer ay lubos na pare-pareho at may magandang pagdirikit sa isa't isa.

Ang sumusunod na figure ay nagpapakita ng isang larawan ng liner layer na idineposito ng plasma enhanced chemical vapor deposition (PECVD).

Trend ng lC Package (1)

Ang proseso ng deposition ay kailangang isaayos nang naaayon para sa iba't ibang proseso ng pagmamanupaktura ng TSV. Para sa front through-hole na proseso, ang isang mataas na temperatura na proseso ng deposition ay maaaring gamitin upang mapabuti ang kalidad ng oxide layer.

Ang karaniwang mataas na temperatura na deposition ay maaaring batay sa tetraethyl orthosilicate (TEOS) na sinamahan ng thermal oxidation na proseso upang makabuo ng lubos na pare-parehong mataas na kalidad na SiO2 insulating layer. Para sa gitnang through-hole at back through-hole na proseso, dahil ang proseso ng BEOL ay nakumpleto sa panahon ng pag-deposition, kinakailangan ang isang mababang-temperatura na paraan upang matiyak ang pagiging tugma sa mga materyales ng BEOL.

Sa ilalim ng kundisyong ito, ang temperatura ng pag-deposito ay dapat na limitado sa 450°, kabilang ang paggamit ng PECVD upang ideposito ang SiO2 o SiNx bilang isang insulating layer.

Ang isa pang karaniwang paraan ay ang paggamit ng atomic layer deposition (ALD) upang magdeposito ng Al2O3 upang makakuha ng mas siksik na insulating layer.

3. Proseso ng pagpuno ng metal

Ang proseso ng pagpuno ng TSV ay isinasagawa kaagad pagkatapos ng proseso ng liner deposition, na isa pang pangunahing teknolohiya na tumutukoy sa kalidad ng TSV.

Ang mga materyales na maaaring punan ay kinabibilangan ng doped polysilicon, tungsten, carbon nanotubes, atbp.

Ayon sa pagkakaiba ng pamamahagi ng electroplating rate nito sa through hole, maaari itong nahahati sa subconformal, conformal, superconformal at bottom-up electroplating na pamamaraan, tulad ng ipinapakita sa figure.

Trend ng lC Package (4)

Pangunahing ginamit ang subconformal electroplating sa maagang yugto ng pananaliksik sa TSV. Tulad ng ipinapakita sa Figure (a), ang mga Cu ion na ibinigay ng electrolysis ay puro sa itaas, habang ang ibaba ay hindi sapat na nadagdagan, na nagiging sanhi ng electroplating rate sa tuktok ng through-hole na mas mataas kaysa sa ibaba ng tuktok. Samakatuwid, ang tuktok ng through-hole ay isasara nang maaga bago ito ganap na mapuno, at isang malaking void ang mabubuo sa loob.

Ang schematic diagram at larawan ng conformal electroplating method ay ipinapakita sa Figure (b). Sa pamamagitan ng pagtiyak ng pare-parehong supplementation ng mga Cu ions, ang electroplating rate sa bawat posisyon sa through-hole ay karaniwang pareho, kaya isang tahi lamang ang maiiwan sa loob, at ang void volume ay mas maliit kaysa sa subconformal electroplating method, kaya ito ay malawakang ginagamit.

Upang higit pang makamit ang isang walang bisa na epekto ng pagpuno, ang superconformal electroplating na pamamaraan ay iminungkahi upang i-optimize ang conformal electroplating na paraan. Tulad ng ipinapakita sa Figure (c), sa pamamagitan ng pagkontrol sa supply ng mga Cu ion, ang rate ng pagpuno sa ibaba ay bahagyang mas mataas kaysa sa iba pang mga posisyon, sa gayon ay na-optimize ang step gradient ng rate ng pagpuno mula sa ibaba hanggang sa itaas upang ganap na maalis ang tahi na natitira. sa pamamagitan ng conformal electroplating method, upang makamit ang ganap na void-free metal copper filling.

Ang bottom-up electroplating method ay maaaring ituring bilang isang espesyal na kaso ng super-conformal na paraan. Sa kasong ito, ang electroplating rate maliban sa ibaba ay pinigilan sa zero, at tanging ang electroplating ay unti-unting isinasagawa mula sa ibaba hanggang sa itaas. Bilang karagdagan sa walang bisa na kalamangan ng conformal electroplating method, ang pamamaraang ito ay maaari ding epektibong mabawasan ang kabuuang oras ng electroplating, kaya malawak itong pinag-aralan sa mga nakaraang taon.

4. teknolohiya ng proseso ng RDL

Ang proseso ng RDL ay isang kailangang-kailangan na pangunahing teknolohiya sa three-dimensional na proseso ng packaging. Sa pamamagitan ng prosesong ito, ang mga interconnection ng metal ay maaaring gawin sa magkabilang panig ng substrate upang makamit ang layunin ng muling pamamahagi ng port o pagkakabit sa pagitan ng mga pakete. Samakatuwid, ang proseso ng RDL ay malawakang ginagamit sa fan-in-fan-out o 2.5D/3D packaging system.

Sa proseso ng pagbuo ng mga three-dimensional na aparato, ang proseso ng RDL ay karaniwang ginagamit upang magkabit ng TSV upang mapagtanto ang iba't ibang mga istraktura ng tatlong-dimensional na aparato.

Sa kasalukuyan ay may dalawang pangunahing proseso ng RDL. Ang una ay batay sa mga photosensitive polymers at pinagsama sa tansong electroplating at mga proseso ng pag-ukit; ang isa ay ipinatupad sa pamamagitan ng paggamit ng proseso ng Cu Damascus na sinamahan ng PECVD at chemical mechanical polishing (CMP) na proseso.

Ang sumusunod ay magpapakilala sa mga pangunahing landas ng proseso ng dalawang RDL na ito ayon sa pagkakabanggit.

Trend ng lC Package (12)

Ang proseso ng RDL batay sa photosensitive polymer ay ipinapakita sa figure sa itaas.

Una, ang isang layer ng PI o BCB glue ay pinahiran sa ibabaw ng wafer sa pamamagitan ng pag-ikot, at pagkatapos ng pag-init at paggamot, isang proseso ng photolithography ay ginagamit upang buksan ang mga butas sa nais na posisyon, at pagkatapos ay isinasagawa ang pag-ukit. Susunod, pagkatapos alisin ang photoresist, ang Ti at Cu ay nabubulok sa wafer sa pamamagitan ng isang proseso ng pisikal na vapor deposition (PVD) bilang isang barrier layer at isang seed layer, ayon sa pagkakabanggit. Susunod, ang unang layer ng RDL ay ginawa sa nakalantad na layer ng Ti/Cu sa pamamagitan ng pagsasama-sama ng mga proseso ng photolithography at electroplating Cu, at pagkatapos ay tinanggal ang photoresist at ang labis na Ti at Cu ay nauukit. Ulitin ang mga hakbang sa itaas upang bumuo ng multi-layer na istraktura ng RDL. Ang pamamaraang ito ay kasalukuyang mas malawak na ginagamit sa industriya.

Ang isa pang paraan para sa paggawa ng RDL ay pangunahing batay sa proseso ng Cu Damascus, na pinagsasama ang mga proseso ng PECVD at CMP.

Ang pagkakaiba sa pagitan ng pamamaraang ito at ng proseso ng RDL batay sa photosensitive polymer ay sa unang hakbang ng pagmamanupaktura ng bawat layer, ang PECVD ay ginagamit upang magdeposito ng SiO2 o Si3N4 bilang isang insulating layer, at pagkatapos ay isang window ay nabuo sa insulating layer sa pamamagitan ng photolithography at reactive ion etching, at Ti/Cu barrier/seed layer at conductor copper ay nabubulok ayon sa pagkakabanggit, at pagkatapos ay ang conductor layer ay thinned sa kinakailangang kapal ng Ang proseso ng CMP, iyon ay, isang layer ng RDL o through-hole layer ay nabuo.

Ang sumusunod na figure ay isang schematic diagram at larawan ng cross-section ng isang multi-layer RDL na binuo batay sa proseso ng Cu Damascus. Mapapansing unang nakakonekta ang TSV sa through-hole layer na V01, at pagkatapos ay nakasalansan mula sa ibaba hanggang sa itaas sa pagkakasunud-sunod ng RDL1, through-hole layer V12, at RDL2.

Ang bawat layer ng RDL o through-hole layer ay ginawa sa pagkakasunud-sunod ayon sa pamamaraan sa itaas.Dahil ang proseso ng RDL ay nangangailangan ng paggamit ng proseso ng CMP, ang gastos sa pagmamanupaktura nito ay mas mataas kaysa sa proseso ng RDL batay sa photosensitive polymer, kaya medyo mababa ang aplikasyon nito.

Trend ng lC Package (2)

5. teknolohiya ng proseso ng IPD

Para sa paggawa ng mga three-dimensional na device, bilang karagdagan sa direktang on-chip na pagsasama sa MMIC, ang proseso ng IPD ay nagbibigay ng isa pang mas nababaluktot na teknikal na landas.

Ang pinagsamang mga passive device, na kilala rin bilang proseso ng IPD, ay nagsasama ng anumang kumbinasyon ng mga passive device kabilang ang mga on-chip inductors, capacitor, resistors, balun converter, atbp. sa isang hiwalay na substrate upang bumuo ng isang passive device library sa anyo ng isang transfer board na maaaring flexible na tawagin ayon sa mga kinakailangan sa disenyo.

Dahil sa proseso ng IPD, ang mga passive device ay ginawa at direktang isinama sa transfer board, ang daloy ng proseso nito ay mas simple at mas mura kaysa sa on-chip integration ng mga IC, at maaaring mass-produce nang maaga bilang isang passive device library.

Para sa paggawa ng TSV na three-dimensional na passive device, epektibong ma-offset ng IPD ang gastos ng mga proseso ng three-dimensional na packaging kabilang ang TSV at RDL.

Bilang karagdagan sa mga pakinabang sa gastos, ang isa pang bentahe ng IPD ay ang mataas na kakayahang umangkop nito. Ang isa sa kakayahang umangkop ng IPD ay makikita sa magkakaibang mga pamamaraan ng pagsasama, tulad ng ipinapakita sa figure sa ibaba. Bilang karagdagan sa dalawang pangunahing pamamaraan ng direktang pagsasama ng IPD sa substrate ng pakete sa pamamagitan ng proseso ng flip-chip tulad ng ipinapakita sa Figure (a) o ang proseso ng pagbubuklod tulad ng ipinapakita sa Figure (b), ang isa pang layer ng IPD ay maaaring isama sa isang layer ng IPD gaya ng ipinapakita sa Mga Figure (c)-(e) upang makamit ang mas malawak na hanay ng mga kumbinasyon ng passive device.

Kasabay nito, tulad ng ipinapakita sa Figure (f), ang IPD ay maaaring higit pang magamit bilang isang adapter board upang direktang ibaon ang pinagsamang chip dito upang direktang bumuo ng isang high-density na sistema ng packaging.

Trend ng lC Package (7)

Kapag gumagamit ng IPD upang bumuo ng mga three-dimensional na passive device, maaari ding gamitin ang proseso ng TSV at proseso ng RDL. Ang daloy ng proseso ay karaniwang pareho sa nabanggit sa itaas na on-chip integration processing method, at hindi na mauulit; ang pagkakaiba ay dahil ang object ng integration ay binago mula sa chip sa adapter board, hindi na kailangang isaalang-alang ang epekto ng three-dimensional na proseso ng packaging sa aktibong lugar at interconnection layer. Ito ay higit na humahantong sa isa pang pangunahing flexibility ng IPD: ang iba't ibang substrate na materyales ay maaaring flexible na mapili ayon sa mga kinakailangan sa disenyo ng mga passive device.

Ang mga substrate na materyales na magagamit para sa IPD ay hindi lamang mga karaniwang semiconductor substrate na materyales tulad ng Si at GaN, kundi pati na rin ang Al2O3 ceramics, low-temperature/high-temperature co-fired ceramics, glass substrates, atbp. Ang tampok na ito ay epektibong nagpapalawak ng disenyo ng flexibility ng passive mga device na isinama ng IPD.

Halimbawa, ang three-dimensional na istruktura ng passive inductor na isinama ng IPD ay maaaring gumamit ng isang glass substrate upang epektibong mapabuti ang pagganap ng inductor. Sa kaibahan sa konsepto ng TSV, ang mga through-hole na ginawa sa glass substrate ay tinatawag ding through-glass vias (TGV). Ang larawan ng three-dimensional na inductor na ginawa batay sa mga proseso ng IPD at TGV ay ipinapakita sa figure sa ibaba. Dahil ang resistivity ng glass substrate ay mas mataas kaysa sa conventional semiconductor na materyales tulad ng Si, ang TGV three-dimensional inductor ay may mas mahusay na mga katangian ng pagkakabukod, at ang pagkawala ng pagpasok na dulot ng substrate parasitic effect sa mataas na frequency ay mas maliit kaysa sa ang maginoo TSV three-dimensional inductor.

Trend ng lC Package (3)

 

Sa kabilang banda, ang mga capacitor ng metal-insulator-metal (MIM) ay maaari ding gawin sa glass substrate IPD sa pamamagitan ng proseso ng manipis na film deposition, at magkakaugnay sa TGV three-dimensional inductor upang makabuo ng three-dimensional na passive na istraktura ng filter. Samakatuwid, ang proseso ng IPD ay may malawak na potensyal na aplikasyon para sa pagbuo ng mga bagong three-dimensional na passive device.


Oras ng post: Nob-12-2024